世界各国のリアルタイムなデータ・インテリジェンスで皆様をお手伝い

CVD/ALD/SOD誘電プリカーサー(前駆体)市場レポート2023-2024


Dielectric Precursors Market Report CMR 2023-2024: CVD/ALD/SOD

米国の半導体材料専門の調査会社テクセット社の調査レポート 「CVD/ALD/SOD誘電プリカーサー(前駆体)市場レポート2023-2024」 は、CVD、ALD、SODアプリケーションに対応する有機・無機プリカーサ市場と技術... もっと見る

 

 

出版社 出版年月 電子版価格 ページ数 図表数 言語
Techcet
テクセット社
2023年6月9日 US$8,900
サイトライセンス
ライセンス・価格情報・注文方法はこちら
133 87 英語

 

サマリー

米国の半導体材料専門の調査会社テクセット社の調査レポート「CVD/ALD/SOD誘電プリカーサー(前駆体)市場レポート2023-2024」は、CVD、ALD、SODアプリケーションに対応する有機・無機プリカーサ市場と技術動向を調査しています。

本レポートのポイント

  • CVD、ALD、SODアプリケーション(ILDと低κ誘電体、ハードマスク、サイドウォールスペーサ、エッチストップ層を含む)に対応する有機および無機プリカーサの市場および技術動向情報を提供。
  • サプライチェーンマネージャー、プロセスインテグレーションや研究開発の責任者、事業開発や財務のアナリストに焦点を当てた情報を提供。
  • 主要誘電体プリカーサーの情報、材料サプライチェーンにおける課題やトレンド、サプライヤーのマーケットシェア予測、エレクトロニクス材料セグメントの予測などを網羅。

主な掲載内容(目次より抜粋)

  1. エグゼクティブサマリー
  2. 調査範囲、目的、メソドロジー
  3. 半導体産業市場の現状と展望
  4. プリカーサー(前駆体)の市場動向
  5. セグメント別市場統計と予測
  6. サブティア材料のサプライチェーン
  7. サプライヤ情報

調査対象範囲

本レポートは、CVD/ALD誘電体およびSODプリカーサの市場および技術動向に関する情報を提供します。過去20年間、半導体産業向けのALDおよびCVDプリカーサに関する多くの研究論文や特許が発表されています。レポートでは、新しいプリカーサの開発パスとロードマップ、およびこれらの材料が大量生産(HVM)に参入するための現在のEHSと規制のハードルについて詳述します。あらゆるタイプのプリカーサについて、犠牲層、低κ誘電体、ハードマスク、マンドレル、エッチングストップ層など、最先端の前工程の絶縁・導電材料に焦点を当てた予測を提供しています。これらのプロセス分野は、最先端のロジック<45 nm、28 nmから10/7 nmノード、そして将来の5 nmと3 nmノード、さらに先進のDRAMと3DNAND揮発性・不揮発性メモリに関する高い成長可能性があるため、関心を集めています。



ページTOPに戻る


目次

1 エグゼクティブサマリー 11

1.1 地域別動向 - 誘電体プリカーサー 12
1.2 プリカーサー市場 - 過去と5年間の予測 13
1.3 誘電体プリカーサ収益 2021年から2027年 (百万米ドル) 14
1.4 技術トレンド 誘電体プリカーサ 15
1.5 CVDおよびALD装置市場 16
1.6 アナリスト評価 - プリカーサ 17

2 範囲、目的、方法論 19

2.1 範囲 20
2.2 目的 21
2.3 方法論 22
2.4 テクセットの他のレポート概要 23

3 半導体産業市場の現状と展望 24

3.1 世界経済 25
3.1.1 半導体産業と世界経済との関係 27
3.1.2 半導体の売上高成長率 28
3.1.3 台湾の月次売上高推移 29
3.1.4 特に2023年については不確実性が高い - 半導体売上高の成長率は鈍化し、マイナスになる見込み 30
半導体売上高の伸びの鈍化が予想される 30
3.2 電子機器セグメント別チップ売上高 31
3.2.1 スマートフォン 32
3.2.2 PCユニット出荷台数 33
3.2.3 サーバー/IT市場 36
3.3 半導体製造の成長・拡大 37
3.3.1 ファブ拡張の発表概要 38
3.3.2 世界的なファブ拡大が成長を牽引 40
3.3.3 設備投資動向 41
3.3.4 技術ロードマップ 42
3.3.5 ファブ投資評価 43
3.4 政策・貿易動向とその影響 44
3.5 半導体材料の概要 45
3.5.1 材料容量がチップ生産スケジュールを制限する可能性はあるか 46
3.5.2 ロジスティクスの問題は緩和された 47
3.5.3 2027年までのテクセット社製ウェーハの着工数予測 48
3.5.4 テクセットの材料予測 49

4 プリカーサーの市場動向 50

4.1 市場動向 51
4.1.1 市場トレンド - ウェーハスタート 53
4.1.2 市場トレンド:ウェーハスタートロジック 54
4.1.3 市場動向 - ウェーハスタートドラマ 55
4.1.4 ウェハースタート・ナンドの市場動向 56
4.2 供給能力および需要、投資 57
4.2.1 WF6 の需要促進要因 59
4.3 供給能力および需要、投資 60
4.4 地域別動向:誘電体前駆体 61
4.4.1 地域別動向と促進要因 62
4.5 CVDおよびALD装置市場 64
4.5.1 世界経済予測:すべてのタイプ 65
4.5.2 WFE予測:デポジション、エッチ&クリーン、リソグラフィ、
メトロロジーなど 66
4.6 デバイスタイプ別技術的促進要因/材料変化とその変化 67
4.6.1 ここ10年の一般的な傾向として、PVDとLPCVDからPECVDとALDへ 68
4.6.2 アドバンストロジックノードHVMの推定値 69
4.6.3 ドラムノードの推定出荷数 75
4.6.4 3d nandノードの推定市場規模 78
4.6.5 デバイスセグメント別の機会に関するまとめ 83
4.7 半導体プロセス・材料動向 84
4.7.1 デバイスタイプ別エッチングプロセス-原子層エッチングエール 85
4.7.2 面積選択性蒸着 95
4.7.3 自己組織化(DSA)とEuv 96
4.7.4 有向性自己組織化(DSA)とEuv 97
4.7.5 2次元遷移金属ジカルコゲナイド(TMD) 98
4.7.6 Euv用ドライレジスト 99
4.7.7 euvレジスト用下地材 102
4.7.8 その他の応用
4.8 EHS と物流の問題 105
4.8.1 半導体製造による温室効果ガス 106
4.8.2 euvとエネルギー 109
4.8.3 原子層堆積法(ALD)の環境負荷の評価と低減への道筋 110
4.9 標準パッケージ/バルブの種類の変化 111

5 セグメント市場の統計と予測 112

5.1 プリカーサー市場 - 過去と5年間の予測 113
5.2 誘電体プリカーサーの売上高 2021~2027 (百万米ドル) 114
5.2.1 評価誘電体プリカーサ 115
5.3 M&A活動 117
5.3.1 M&A活動 - メルク&メカロ 118
5.4 新規工場 119
5.5 サプライヤーの工場閉鎖 - 報告なし 124
5.6 新規参入:ドライレジストコンソーシアム 125

6 サブティアの材料サプライチェーン 126

6.1 サブティアサプライチェーン:導入 127
6.2 ロジスティクス 128
6.2.1 ロジスティクス(続き) 129
6.3 サブティアサプライチェーンへの新規参入 - 報告なし 130
6.4 サブティアサプライチェーンのプラント更新-新規-報告なし 131
6.5 サブティアサプライチェーンの技術アナリスト評価 132

7 サプライヤーのプロファイル 133

ADEKA CORPORATION
AIR LIQUIDE (MAKER, PURIFIER, SUPPLIER)
AZMAX CO., LTD
CITY CHEMICAL LLC
DNF CO., LTD
...他20社以上

ページTOPに戻る



図表リスト

図リスト

図1:ハードマスク、低誘電率プリカーサの地域シェア 2022年 12
図2:プリカーサー市場全体(百万米ドル) 13
図3:誘電体プリカーサー市場 2021~2027 14
図4:CVDとALDの装置合計市場 2022年 17-180億米ドル 16
図5:世界経済とエレクトロニクスサプライチェーン(2022年) 27
図6:世界の半導体売上高 28
図7:テックセット社の台湾半導体産業指数(TTSI)* 29
図8:2023年半導体産業の収益成長予測 30
図9:2022年半導体チップのアプリケーション 31
図10: 携帯電話出荷台数の世界予測 32
図11: PCとタブレットの世界予測 33
図12: 世界地域別電動化傾向 34
図13:半導体の自動車生産 35
図14:TSMCフェニックスへの投資額は400億ドルと推定される 37
図15:チップ拡張 2022 ~ 2027 年、3,660 億米ドル 38
図16:世界の半導体チップ製造地域 40
図17: セグメント別設備投資額の世界合計(US$ billion) 41
図18: 先端ロジックデバイス技術ロードマップの概要 42
図19: インテル・オハイオ工場跡地(2023年5月)と完成予想図(下) 43
図20: 欧州のチップ拡張の進展 46
図21: ポートオブLA 47
図22:テックセット社のノードセグメント別ウェーハスタート予測** 48
図23: 世界の半導体材料の展望 49
図24:2021~2027年のウェーハスタート数予測 53
図25:ロジック300mmウェーハスタート数の予測 54
図26:ウェーハスタート数予測:ドラマ300mm 55
図27: ウェハースタートナンド300 mmの予測 56
図28: 3Dnand の市場シェア 2022 年 59
図29:ハードマスク、低誘電率プリカーサの地域シェア 2022 年 61
図30:CVD、ALD 装置の合計市場 2022 年 17~18 億米ドル 64
図31: 2022 年半導体装置予測 65
図32: 2022 年 ノード毎のテクインサイツの WFE 支出額(上)と 2022 年ガートナーの WFE 支出額(下) 66
図33: 3Dデバイス・アーキテクチャ 68
図34:主要な IDM の論理技術ノードロードマップ 69
図35: 2022年6月 サムスン、Gaa-Fet 技術による 3nm パイロットランプを開始。70
図36:IMEC 2022 年ロジックロードマップ 71
図37:スケーリングとリソグラフィーのトレンド - 設備投資、エネルギー、排出量における高コスト 72
図38:Applied Materials Centura パターンシェーピングクラスター 73
図39:主要な IDMS のドラム技術ロードマップ 75
図40: 3DRAM分野での IP 申請が加速している 76
図41:主要IDMSのNANDテクノロジーロードマップ 78
図42: 3d NANDのスケーリング継続のためのパスウェイ 79
図43:100L未満から4スタックへの3DNANDスタックトレンド 80
図44:V-NANDにおけるメモリスタックの課題 81
図45A: デバイスの複雑さが増す FINFET から GAA までのトランジスタの図。デバイスの複雑性を高めるには選択的なエッチングが必要85
図45B:ALEのプロセスサイクル 85
図46:ALE候補を示す期間表(等方性エッチング) 86
図47: ALE(等方性エッチング)の応用 87
図48: ALDとALEのコンボプロセス 88
図49: プラズマエレ・サーモエレプロセス 89
図50: LAM ALE プロセス 90
図51:ALD/ALE プロセスのロードマップ 91
図52: 2022 年までの企業別ALE特許活動 92
図53:ALD による領域選択的 sin 蒸着(AVS ASD2022) 95
図54: DSA と EUS プロセス 96
図55:DSAによるレジスト整流 97
図56:単層チャネル形成のテムおよびアーティストレンダリング 98
図57: ゲート構造とピッチスケーリングを可能にする euv リソグラフィ。 99
図58:euv半導体イメージ用ドライレジスト 100
図59: euv 金属酸化物レジスト用スピンオンカーボン(Soc)誘電体
リソグラフィ後のパターン 101
図60: ナイトナEuvの下地(誘電体)ハードマスクの傾向 102
図61:スピンオンプライマー(Soc)とHMDSプライマーの比較 HMDSプライマー 103
図62:チップファブの材料と装置の温室効果ガスへの貢献度 106
図63:様々なプロセスとガスの環境影響(GWP) 107
図64:エッチングガスによるCO2eq排出量 108
図65: ロジックノードあたりの総排出量とエネルギー使用量の予測 109
図66: ALDの環境影響 110
図67:2020年のアンプルのセグメンテーション(現場でのユニット数に基づく)111
のユニット数による区分 111
図68:プリカーサー市場全体、百万米ドル 113
図69: 誘電体プリカーサー市場 2021~2027 114
図70:TEOS 116
図71: Euvドライレジストとは?                     125
図72:ALD前駆体に使用される典型的な非ハライド型リガンド 127
図73:船舶から供給される前駆体の例 128
図74: 海上コンテナ価格指数-2020年7月~2023年3月 129

表リスト

表1:誘電体プリカーサの地域別売上高(百万米ドル) 12
表2: 2017年から2027年までの5年間のCAGRS 13
表3:世界のGDPと半導体の売上高* 25
表4:IMFの経済見通し* 26
表5:データセンターシステムおよび通信サービス市場支出 2022年 36
表6:誘電体プリカーサ市場規模(地域別) 61
表7:ウェハーの地域別市場 62
表8:プリカーサーの地域別市場 63
表9:ロジックデバイスのデバイスタイプ別、材料別成膜プロセスの概要 74
表10:デバイスタイプ別、材料別成膜プロセスの概要:DRAM 77
表11:デバイスタイプ別、材料別の成膜プロセスの概要:3DNAND 82
表12:デバイスタイプ別のガストレンドと機会 83
表13:プリカーサーの5年間のCAGR比較 113

ページTOPに戻る


プレスリリース

半導体材料の "スイートスポット" - ALD/CVD前駆体サプライチェーン
Mo
がHVMアプリケーションに取って代わり、将来のWF6の供給ひずみを減らす可能性。

2023年7月26日発表

カリフォルニア州サンディエゴ
半導体サプライチェーンに関するビジネスおよび技術情報を提供する電子材料アドバイザリー会社TECHCETは、半導体プリカーサ(前駆体)の収益が高成長を続けており、5年間のCAGRはメタルが7%、High-kが5%、誘電体が8%であると発表した。この成長は、ALDおよびCVDプロセス用の新材料への関心とニーズの高まりによるものである。デバイスメーカーはコストと性能の向上を目指した新しい製造ソリューションを探し続けており、ALD/CVDソリューションはその最前線にある。

タングステン(WF6 前駆体由来)の使用は、3D NAND の垂直スケーリングと全セグメントにおけるウェーハスタート数の増加によって大きく牽引されている。WF6の需給は2023年まで均衡が保たれると予想される。しかし、TECHCETはWF6の供給が2025年までに制限され、2026年には不足する恐れがあると予測している(下図参照)。モリブデン(Mo)固体プリカーサがWF6の代替を開始し、R&DからHVMに移行すれば、供給不足の可能性は緩和される。ただしTECHCET のレポート「ALD/CVD Precursors Critical Materials Report」によれば、ファブはWF6と同等、もしくはそれ以上のコストとパフォーマンスを確認する必要があるため、Moの導入についてはまだ不確実だと、コメントしている。
 

タングステン(WF6) 供給と需要の見通し

デバイスを改善するための技術革新の他の重要な分野には、ゲート絶縁膜、金属ゲート電極、チャネルのひずみ/応力エピ、チャネル材料、メモリセル、high-kキャパシタ、相互接続配線、バリア、シード層、キャッピング、絶縁体、フォトリソグラフィ(関連するパターニング技術を含む)などのトランジスタ用の新材料が含まれる。新たな課題は、材料(Hf、Zr、La、Co、Ru、Moなど)やプロセス(ALDやプラズマアシスト法など)で、対処する継続的な微細化によって推進される。

ALD/CVD前駆体市場動向、サプライチェーン問題、アデカ、エア・リキード、エンテグリス、EMDエレクトロニクス、マシソン・ガス、リンデ、ナンマット、ヨーク、SKマテリアルズなどのサプライヤープロファイルの詳細については、以下のALD / CVD プリカーサ関連レポートを参照のこと。

 

ページTOPに戻る


 

Summary

Dielectric Precursors Market Report CMR 2023-2024: CVD/ALD/SOD DIELECTRIC PRECURSORS

  • Provides market and technical trend information on organic and inorganic precursors, addressing CVD, ALD, and SOD applications including ILDs & low-κ dielectrics, hard masks, sidewall spacers and etch stop layers
  • Provides focused information for supply-chain managers, process integration and R&D directors, as well as business development and financial analysts
  • Covers information about key dielectric precursor suppliers, issues/trends in the material supply chain, estimates on supplier market share, and forecast for the electronics material segments

Description

This report provides market and technical trend information CVD/ALD dielectric and SOD precursors. For the last 20 years, there have been many research papers and patents published regarding ALD and CVD precursors specifically for the semiconductor industry. This report includes detail on the development path and roadmaps for new precursors and any current EHS and regulatory hurdles for these materials to enter into high volume manufacturing (HVM). Forecasts are provided on precursors of all types, with a focus is on the leading-edge front end of the line insulating and conductive materials, including sacrificial layers, low-κ dielectrics, hard masks, mandrel, and etch stop layers. These process areas are of interest because of the high growth potential associated with leading-edge logic <45 nm, 28 nm to 10/7 nm nodes, and the future 5 & 3 nm nodes, as well as advanced DRAM and 3DNAND volatile and non-volatile memories.



ページTOPに戻る


Table of Contents

2023-2024 CMR CVD/ALD/SOD DIELECTRIC PRECURSORS
TECHCET-CMR-ALDCVD-CMCF-060923CY

Table of Contents

1  EXECUTIVE SUMMARY   11
1.1 REGIONAL TRENDS – DIELECTRIC PRECURSORS                  12
1.2 PRECURSOR MARKET – HISTORICAL AND 5-YEAR FORECAST              13
1.3 DIELECTRIC PRECURSOR REVENUE 2021 TO 2027 (M USD)             14
1.4 TECHNOLOGY TRENDS DIELECTRIC PRECURSORS                  15
1.5 CVD AND ALD EQUIPMENT MARKET                      16
1.6  ANALYST ASSESSMENT – PRECURSORS                      17

2  SCOPE, PURPOSE, AND METHODOLOGY  19
2.1 SCOPE                                  20
2.2 PURPOSE                                  21
2.3 METHODOLOGY                              22
2.4 OVERVIEW OF OTHER TECHCET CMR™ REPORTS                  23

3  SEMICONDUCTOR INDUSTRY MARKET STATUS & OUTLOOK     24
3.1 WORLDWIDE ECONOMY                              25
3.1.1  SEMICONDUCTOR INDUSTRIES TIES TO THE GLOBAL ECONOMY          27
3.1.2 SEMICONDUCTOR SALES GROWTH                      28
3.1.3 TAIWAN MONTHLY SALES TRENDS                      29
3.1.4 UNCERTAINTY ABOUNDS ESPECIALLY FOR 2023 - SLOWER TO NEGATIVE
SEMICONDUCTOR  REVENUE GROWTH EXPECTED                  30
3.2 CHIPS SALES BY ELECTRONIC GOODS SEGMENT                  31
3.2.1 SMARTPHONES                              32
3.2.2 PC UNIT SHIPMENTS                          33
3.2.3  SERVERS / IT MARKET                          36
3.3 SEMICONDUCTOR FABRICATION GROWTH & EXPANSION              37
3.3.1 FAB EXPANSION ANNOUNCEMENT SUMMARY                 38
3.3.2 WW FAB EXPANSION DRIVING GROWTH                      40
3.3.3 EQUIPMENT SPENDING TRENDS                      41
3.3.4 TECHNOLOGY ROADMAPS                         42
3.3.5 FAB INVESTMENT ASSESSMENT                      43
3.4 POLICY & TRADE TRENDS AND IMPACT                      44
3.5 SEMICONDUCTOR MATERIALS OVERVIEW                      45
3.5.1 COULD MATERIALS CAPACITY LIMIT CHIP PRODUCTION  SCHEDULES?      46
3.5.2 LOGISTICS ISSUES EASED DOWN                       47
3.5.3 TECHCET WAFER STARTS FORECAST THROUGH 2027              48
3.5.4 TECHCET’S MATERIAL FORECAST                     49

 4  PRECURSOR MARKET TRENDS  50
4.1 MARKET TRENDS                               51
4.1.1 MARKET TRENDS – WAFER STARTS                      53
4.1.2 MARKET TRENDS – WAFER STARTS LOGIC                  54
4.1.3 MARKET TRENDS – WAFER STARTS DRAM                  55
4.1.4 MARKET TRENDS – WAFER STARTS NAND                  56
4.2 SUPPLY CAPACITY AND DEMAND, INVESTMENTS                   57
4.2.1 WF6 DEMAND DRIVERS                           59
4.3 SUPPLY CAPACITY AND DEMAND, INVESTMENTS                 60
4.4 REGIONAL TRENDS – DIELECTRIC PRECURSORS                   61
4.4.1 REGIONAL TRENDS AND DRIVERS                     62
4.5 CVD AND ALD EQUIPMENT MARKET                       64
4.5.1  WFE FORECAST: ALL TYPES                      65
4.5.2 WFE FORECAST: DEPOSITION, ETCH & CLEAN, LITHOGRAPHY,
METROLOGY ETC.                                66
4.6 TECHNICAL DRIVERS / MATERIAL CHANGES AND TRANSITIONS BY
DEVICE TYPE                                   67
4.6.1 GENERAL TREND LAST DECADE GOING FROM PVD & LPCVD TO PECVD & ALD  68
4.6.2 ADVANCED LOGIC NODE HVM ESTIMATE                  69
4.6.3 DRAM NODE HVM ESTIMATE                          75
4.6.4 3D NAND NODE HVM ESTIMATE                      78
4.6.5 SUMMARY OF OPPORTUNITIES BY DEVICE SEGMENT              83
4.7 SEMICONDUCTOR PROCESS & MATERIALS TRENDS                  84
4.7.1  ETCH PROCESS BY DEVICE TYPE—ATOMIC LAYER ETCHING ALE           85
4.7.2 AREA SELECTIVE DEPOSITION                      95
4.7.3 DIRECTED SELF ASSEMBLY (DSA) AND EUV                  96
4.7.4 DIRECT SELF ASSEMBLY (DSA) AND EUV                  97
4.7.5  2D TRANSITION METAL DICHALCOGENIDES (TMD)              98
4.7.6 DRY RESIST FOR EUV                          99
4.7.7 UNDERLAYERS FOR EUV RESIST                      102
4.7.8 OTHER APPLICATIONS – OPTICS                      104
4.8 EHS AND LOGISTIC ISSUES                          105
4.8.1 GREEN HOUSE GASES FROM SEMICONDUCTOR PRODUCTION              106
4.8.2 EUV AND ENERGY                              109
4.8.3 ASSESSING THE ENVIRONMENTAL IMPACT OF ATOMIC LAYER DEPOSITION
(ALD) PROCESSES AND PATHWAYS TO LOWER IT                  110
4.9 CHANGES IN STANDARD PACKAGING/VALVE TYPES               111

5  SEGMENT MARKET STATISTICS AND FORECASTS    112
5.1 PRECURSOR MARKET – HISTORICAL AND 5-YEAR FORECAST           113
5.2 DIELECTRIC PRECURSOR REVENUE 2021 TO 2027 (M USD)           114
5.2.1 ASSESSMENT DIELECTRIC PRECURSORS                  115
5.3 M&A ACTIVITIES                               117
5.3.1 M&A ACTIVITIES – MERCK & MECARO                     118
5.4 NEW PLANTS                               119
5.5 SUPPLIER PLANT CLOSURES – NONE REPORTED                   124
5.6 NEW ENTRANTS – DRY RESIST CONSORTIUM                   125

6  SUB TIER MATERIAL SUPPLY CHAIN    126
6.1 SUB-TIER SUPPLY-CHAIN: INTRODUCTION                   127
6.2 LOGISTICS                               128
6.2.1 LOGISTICS, CONTINUED                          129
6.3 SUB-TIER SUPPLY-CHAIN “NEW” ENTRANTS -  NONE REPORTED           130
6.4 SUB-TIER SUPPLY-CHAIN PLANTS UPDATES-NEW – NONE REPORTED          131
6.5 SUB-TIER SUPPLY-CHAIN TECHCET ANALYST ASSESSMENT               132

7  SUPPLIER PROFILES  133
ADEKA CORPORATION
AIR LIQUIDE (MAKER, PURIFIER, SUPPLIER)
AZMAX CO., LTD
CITY CHEMICAL LLC
DNF CO., LTD
…and 20+ more

ページTOPに戻る



List of Tables/Graphs

FIGURES

FIGURE 1: HARDMASK, LOW K DIELECTRIC PRECURSORS REGIONAL SHARES 2022      12
FIGURE 2: TOTAL PRECURSOR MARKET, M USD                  13
FIGURE 3 DIELECTRIC PRECURSOR MARKET 2021 TO 2027              14
FIGURE 4: CVD AND ALD TOTAL EQUIPMENT MARKET 2022 USD 17-18 BILLION     16
FIGURE 5: GLOBAL ECONOMY AND THE ELECTRONICS SUPPLY CHAIN  (2022)      27
FIGURE 6: WORLDWIDE SEMICONDUCTOR SALES                   28
FIGURE 7: TECHCET’S TAIWAN SEMICONDUCTOR INDUSTRY INDEX (TTSI)*       29
FIGURE 8: 2023 SEMICONDUCTOR INDUSTRY REVENUE GROWTH FORECASTS      30
FIGURE 9: 2022 SEMICONDUCTOR CHIP APPLICATIONS              31
FIGURE 10: MOBILE PHONE SHIPMENTS WW ESTIMATES               32
FIGURE 11: WORLDWIDE PC AND TABLET FORECAST                  33
FIGURE 12: ELECTRIFICATION TREND BY WORLD REGION               34
FIGURE 13: SEMICONDUCTOR AUTOMOTIVE PRODUCTION              35
FIGURE 14: TSMC PHOENIX INVESTMENT ESTIMATED WILL BE US $40 B          37
FIGURE 15: CHIP EXPANSIONS 2022-2027 US$366 B                  38
FIGURE 16: SEMICONDUCTOR CHIP MANUFACTURING REGIONS OF THE WORLD      40
FIGURE 17: GLOBAL TOTAL EQUIPMENT SPENDING BY SEGMENT (US$ B)      41
FIGURE 18: OVERVIEW OF ADVANCED LOGIC DEVICE TECHNOLOGY ROADMAP      42
FIGURE 19: INTEL OHIO PLANT SITE FEB. 2023 AND ARTIST RENDERING
(ON BOTTOM)                                  43
FIGURE 20: EUROPE CHIP EXPANSION UPSIDE                  46
FIGURE 21: PORT OF LA                              47
FIGURE 22: TECHCET WAFER START FORECAST BY NODE SEGMENTS**          48
FIGURE 23: GLOBAL SEMICONDUCTOR MATERIALS OUTLOOK              49
FIGURE 24: FORECASTS – WAFER STARTS 2021 TO 2027               53
FIGURE 25: FORECASTS – WAFER STARTS LOGIC 300 MM               54
FIGURE 26: FORECASTS – WAFER STARTS DRAM 300 MM              55
FIGURE 27: FORECASTS – WAFER STARTS NAND 300 MM             56
FIGURE 28: 3DNAND MARKET SHARE 2022                      59
FIGURE 29: HARDMASK, LOW K DIELECTRIC PRECURSORS REGIONAL SHARES 2022  61
FIGURE 30: CVD AND ALD TOTAL EQUIPMENT MARKET 2022 USD 17-18 BILLION      64
FIGURE 31: SEMI 2022 SEMICONDUCTOR EQUIPMENT FORECAST              65
FIGURE 32: 2022 TECHINSIGHTS WFE SPENDING (TOP) AND 2022 GARTNER WFE
SPENDING PER NODE (BOTTOM)                          66
FIGURE 33: 3D DEVICE ARCHITECTURES                      68
FIGURE 34: LOGIC TECHNOLOGY NODE ROADMAP FOR LEADING IDMS          69
FIGURE 35: SAMSUNG START 3 NM PILOT RAMP USING GAA-FET TECHNOLOGY
JUNE 2022                                  70
FIGURE 36: IMEC 2022 LOGIC ROADMAP                      71
FIGURE 37: SCALING AND LITHOGRAPHY TRENDS – A HIGH COST IN CAPITAL
EXPENDITURE, ENERGY AND EMISSIONS                      72
FIGURE 38: APPLIED MATERIALS CENTURA PATTERN SHAPING CLUSTER          73
FIGURE 39: DRAM TECHNOLOGY ROADMAP FOR LEADING IDMS              75
FIGURE 40: IP FILING IN THE FIELD OF 3DRAM IS ACCELERATING          76
FIGURE 41: NAND TECHNOLOGY ROADMAP FOR LEADING IDMS               78
FIGURE 42: PATHWAYS FOR CONTINUED 3D NAND SCALING              79
FIGURE 43: 3DNAND STACK TRENDS FROM <100L TO 4 STACKS              80
FIGURE 44: MEMORY STACK CHALLENGES FOR V-NAND                  81
FIGURE 45A: FINFET TO GAA TRANSISTOR DIAGRAMS SHOWING SELECTIVE
ETCHING IS NEEDED TO ADD RESS DEVICE COMPLEXITY             85
FIGURE 45B: ALE PROCESS CYCLE                          85
FIGURE 46: PERIOD TABLE INDICATING CANDIDATES FOR ALE
(ISOTROPIC ETCHING)                              86
FIGURE 47: APPLICATION OF ALE (ISOTROPIC ETCH)              87
FIGURE 48: ALD AND ALE COMBO PROCESS                      88
FIGURE 49: PLASMA AND THERMAL ALE PROCESSES                  89
FIGURE 50: LAM ALE PROCESS                         90
FIGURE 51: ALD / ALE PROCESS ROADMAP                      91
FIGURE 52: ALE PATENT ACTIVITY BY COMPANY THROUGH 2022          92
FIGURE 53: AREA SELECTIVE SIN DEPOSITION BY ALD (AVS ASD2022)       95
FIGURE 54: DSA AND EUS PROCESSES                        96
FIGURE 55: RESIST RECTIFICATION WITH DSA                   97
FIGURE 56: TEM AND ARTIST RENDERING OF MONOLAYER CHANNEL FORMATION       98
FIGURE 57: EUV LITHOGRAPHY ENABLING GATE STRUCTURES AND PITCH SCALING.  99
FIGURE 58: DRY RESIST FOR EUV SEM IMAGE                   100
FIGURE 59: SPIN ON CARBON (SOC) DIELECTRIC FOR EUV METAL OXIDE RESISTS
PATTERNS AFTER LITHO                              101
FIGURE 60: UNDERLAYER (DIELECTRIC) HARDMASKS TRENDS FOR NIGH NA EUV      102
FIGURE 61: SPIN ON PRIMER (SOC) VS. HMDS PRIMER                103
FIGURE 62: GREENHOUSE GAS CONTRIBUTIONS OF CHIP FAB MATERIALS AND
EQUIPMENT                                   106
FIGURE 63: ENVIRONMENTAL IMPACT (GWP) OF VARIOUS PROCESSES AND GASES      107
FIGURE 64: CO2EQ OUTPUT FROM ETCH GASES                  108
FIGURE 65: TOTAL EMISSIONS AND ENERGY USE PROJECTION PER LOGIC NODE      109
FIGURE 66: ENVIRONMENTAL IMPACT OF ALD                  110
FIGURE 67: SEGMENTATION OF THE AMPOULE FLEET 2020 BASED ON NUMBER OF
UNITS IN THE FIELD                              111
FIGURE 68: TOTAL PRECURSOR MARKET, M USD                  113
FIGURE 69: DIELECTRIC PRECURSOR MARKET 2021 TO 2027              114
FIGURE 70: TEOS                              116
FIGURE 71: WHAT IS EUV DRY RESIST?                      125
FIGURE 72: TYPICAL NON-HALIDE LIGANDS USED FOR ALD PRECURSORS          127
FIGURE 73: EXAMPLES OF PRECURSORS SUPPLIED BY SHIP              128
FIGURE 74: OCEAN CONTAINER PRICE INDEX - JULY ‘20 TO MARCH ’23      129

TABLES  

TABLE 1: DIELECTRIC PRECURSOR REVENUES BY REGION (US$ M)              12
TABLE 2: 2017 TO 2027 5-YEAR CAGRS                         13
TABLE 3: GLOBAL GDP AND SEMICONDUCTOR REVENUES*               25
TABLE 4: IMF ECONOMIC OUTLOOK*                         26
TABLE 5: DATA CENTER SYSTEMS AND COMMUNICATION SERVICES MARKET
SPENDING 2022                                      36
TABLE 6: DIELECTRIC PRECURSOR MARKET SIZE BY REGION                61
TABLE 7: REGIONAL WAFER MARKETS                        62
TABLE 8: REGIONAL PRECURSOR MARKETS                         63
TABLE 9: OVERVIEW OF DEPOSITION PROCESSES BY DEVICE TYPE AND MATERIAL
FOR LOGIC DEVICES                                 74
TABLE 10: OVERVIEW OF DEPOSITION PROCESSES BY DEVICE TYPE AND MATERIAL
FOR DRAM                                      77
TABLE 11: OVERVIEW OF DEPOSITION PROCESSES BY DEVICE TYPE AND MATERIAL
FOR 3DNAND                                       82
TABLE 12: GAS TRENDS AND OPPORTUNITIES BY DEVICE TYPE            83
TABLE 13: PRECURSOR 5-YEAR CAGR COMPARISON                    113

ページTOPに戻る


Press Release

Materials “Sweet-spot” – ALD/CVD Precursor Supply Chain
Mo may replace HVM applications, which could reduce future WF6 supply strains


San Diego, CA, July 26, 2023
TECHCET — the electronic materials advisory firm providing business and technology information on semiconductor supply chains — has announced that Semiconductor Precursor revenues are continuing to see high growth, with 5-year CAGR’s of 7% for metal, 5% for high-k, and 8% for dielectrics. Growth is influenced by the increasing interest and need for new materials for ALD and CVD processes. Device producers continue to look for new manufacturing solutions designed to improve cost and performance, and ALD/CVD solutions are at the forefront of such efforts.

Tungsten (from WF6 precursor) usage is highly driven by vertical scaling of 3DNAND and increased wafer start numbers in all segments. WF6 supply/demand is expected to stay in balance through 2023. However, TECHCET is anticipating supply of WF6 to become constrained by 2025 with threat of shortage in 2026 (as shown below). Possible shortages could be mitigated if molybdenum (Mo) solid precursors start replacing WF6 and transition from R&D to HVM. Implementation of Mo is still uncertain as fabs will need to see cost and performance equal to, or better than WF6, as indicated in TECHCET’s new ALD/CVD Precursors Critical Materials Report(TM).
 

Tungsten(WF6) Supp;y / Demand Forecast

Other critical areas of technology change for improved devices include new materials for transistors such as high-κ gate dielectrics, metal gate electrodes, strain/stress epi of the channel and channel materials, memory cells and high-K capacitors, interconnect wiring, barriers, seed layers, capping, insulators, and photolithography (with associated patterning techniques). Emerging challenges are driven by continued dimensional scaling addressed with materials (e.g., Hf, Zr, La, Co, Ru, Mo), and processes (e.g., ALD and plasma-assisted methods. For more details on ALD/CVD Precursor market trends, supply-chain issues, and supplier profiles on Adeka, Air Liquide, Entegris, EMD Electronics, Matheson Gases, Linde, Nanmat, Yoke, SK Materials, and more, go to:

https://www.dri.co.jp/auto/report/techcet/techcdep.html

https://www.dri.co.jp/auto/report/techcet/techchighkald.html

 

ページTOPに戻る

ご注文は、お電話またはWEBから承ります。お見積もりの作成もお気軽にご相談ください。

webからのご注文・お問合せはこちらのフォームから承ります

本レポートと同分野の最新刊レポート

  • 本レポートと同分野の最新刊レポートはありません。

本レポートと同じKEY WORD(プリカーサー)の最新刊レポート

  • 本レポートと同じKEY WORDの最新刊レポートはありません。

よくあるご質問


Techcet社はどのような調査会社ですか?


テクセット社は、長年の経験を持ち、半導体業界の中で十分かつ正確に市場や技術動向を分析することのできるエキスパート達によって運営されています。同社がこれまでコンサルタントを委託された企業は多く、材料メー... もっと見る


調査レポートの納品までの日数はどの程度ですか?


在庫のあるものは速納となりますが、平均的には 3-4日と見て下さい。
但し、一部の調査レポートでは、発注を受けた段階で内容更新をして納品をする場合もあります。
発注をする前のお問合せをお願いします。


注文の手続きはどのようになっていますか?


1)お客様からの御問い合わせをいただきます。
2)見積書やサンプルの提示をいたします。
3)お客様指定、もしくは弊社の発注書をメール添付にて発送してください。
4)データリソース社からレポート発行元の調査会社へ納品手配します。
5) 調査会社からお客様へ納品されます。最近は、pdfにてのメール納品が大半です。


お支払方法の方法はどのようになっていますか?


納品と同時にデータリソース社よりお客様へ請求書(必要に応じて納品書も)を発送いたします。
お客様よりデータリソース社へ(通常は円払い)の御振り込みをお願いします。
請求書は、納品日の日付で発行しますので、翌月最終営業日までの当社指定口座への振込みをお願いします。振込み手数料は御社負担にてお願いします。
お客様の御支払い条件が60日以上の場合は御相談ください。
尚、初めてのお取引先や個人の場合、前払いをお願いすることもあります。ご了承のほど、お願いします。


データリソース社はどのような会社ですか?


当社は、世界各国の主要調査会社・レポート出版社と提携し、世界各国の市場調査レポートや技術動向レポートなどを日本国内の企業・公官庁及び教育研究機関に提供しております。
世界各国の「市場・技術・法規制などの」実情を調査・収集される時には、データリソース社にご相談ください。
お客様の御要望にあったデータや情報を抽出する為のレポート紹介や調査のアドバイスも致します。



詳細検索

このレポートへのお問合せ

03-3582-2531

電話お問合せもお気軽に

 

2024/07/26 10:25

155.13 円

168.78 円

202.15 円

ページTOPに戻る